Транзистори и чипове: FET, FinFET, GAAFET и отвъд

Полупроводниковата индустрия търси всевъзможни начини за преодоляване на технологичните бариери и засега успява да напредва по Закона на Мур (снимка: CC0 Public Domain)

Производителността на процесорите и видеокартите зависи от много фактори, включително напредъка в технологиите, по които се изготвят транзисторите. Увеличаването на изчислителната мощност е свързано с намаляване на размера на транзисторите, което  позволява интегриране на повече елементи в по-малка площ. Освен това се променя структурата на транзисторите.

Съвременните полупроводникови чипове използват транзистори с дизайни като FET, FinFET и GAAFET. Те се характеризират с по-висока производителност и енергийна ефективност, в сравнение с традиционните транзистори. Ето какви са разликите между тях:

Планарен FET дизайн

Планарният транзистор беше основна технология за чипове до около 2012 г. Неговата структура е доста проста: има област на n-проводимост около източника и изтичането, създадена чрез въвеждане на подходящи примеси в силиций. Субстратът първоначално има p-проводимост. Гейтът (затворът) служи като контролен елемент, който позволява регулиране на тока от източника към изтичането чрез прилагане на определен потенциал към него. Тази концепция може да се онагледи с водопроводна тръба. Затворът действа като клапан, който регулира ширината на канала.

С напредването на технологиите планарните FET транзистори ставаха все по-малки без особени пречки за това. Когато обаче достигнаха размер от 22 нанометра, инженерите се сблъскаха с редица проблеми. Например, намаляването на дължината на затвора доведе до това, че каналът стана твърде тънък. Това позволи на електроните спонтанно да тунелират от източника до изтичането, дори когато гейтът е затворен. С други думи, настъпи изтичане на ток при изключен транзистор.

В допълнение, намаляването на площта на гейта доведе до влошаване на ефективността на управление на канала. В резултат на това транзисторът стана по-малко управляем, което доведе до проблеми в работата му. Трябваше да се разработят нови дизайни и технологии, за да се преодолеят ограниченията.

3D дизайн FINFET

Преходът от двуизмерна към триизмерна структура на транзисторите чрез използване на технологията FinFET осигури редица практически предимства:

Разширен канал под формата на перка направи възможен по-ефективен поток на тока. Гейтът заобикаля канала от три страни, което позволява по-добър контрол на електронния поток. Когато се приложи напрежение към затвора, електроните се изтеглят от дълбочината на ребрата към върховете, където се образува каналът. Това води до по-ефективно и концентрирано ядро ​​в горната част на ребрата, минимизирайки токовете на утечка;

3D структурата на FinFET подобрява ефективността на управлението, в сравнение с планарната технология. Тъй като гейтът обхваща канала от три страни вместо само от една, производителите могат да проектират транзистори с 2-3 гребена, което позволява по-висок транзисторен ток;

Разделителната способност на използваното фотолитографско оборудване също влияе пряко върху разстоянието между гребените, което още  повече увеличава ефективността на управлението.

Технологията FinFET е възприета от големи играчи като Intel, Samsung, TSMC и SMIC. Но въпреки практическите ползи, потенциалът на FinFET постепенно се изчерпва. Тъй като размерът на гейта става по-малък, проблематично е да поставите ребрата по-близо едно до друго. Освен това с всяка итерация е необходимо да се увеличава височината на ребрата.

FinFET технологията достига своя лимит при 5- и 3-нанометровите процеси. Поради това, TSMC и Samsung работят върху нова архитектура, която е по-обещаваща.

GAAFET транзистор

През 2020 г. TSMC и Samsung започнаха да разработват ново поколение транзистори, наречени GAAFET (Gate-All-Around Field-Effect Transistor). Очаква се тази нова архитектура да осигури допълнително увеличение на производителността на чиповете и да позволи преход към по-тънки производствени процеси – до 1-2 нанометра.

Дизайнът на транзистора GAAFET се различава от FinFET по това, че каналите са заобиколени с гейтове от четирите страни. Това се постига чрез разрязване на ребрата и създаване на канали, образувани от няколко хоризонтални силициеви нанотръби или нанолистове. Новият дизайн подобрява управлението на транзистора и преодолява прага от 3 нанометра.

Интересното е, че GAAFET транзисторът бе представен за първи път през 1988 г., но масовото производство започна едва наскоро. Освен TSMC и Samsung, Intel също има свой собствен вариант на GAAFET, наречен RibbonFET. Инженерите на Intel ще предложат няколко варианта на RibbonFET с различен брой нанолистове (от 2 до 5).

Но внедряването на тази технология е съпроводено с трудности, включително висока цена заради специфичната ѝ структура. В резултат на това разработчиците на чипове не са склонни да се откажат от FinFET, тъй като тя все още предлага конкурентна производителност и възможност за използване на технологични процеси до 4 нанометра.

Нови перспективни разработки

След откриване на 3D структурите, разработчиците на чипове започнаха да изследват и предлагат по-сложни архитектури. Един пример е 2,5-нанометровият транзистор с допълнителен полеви ефект (CFET) на Intel. Тази концепция включва подреждане на nFET и pFET структурите вертикално една върху друга. Подобна техника намалява активната площ на клетката и позволява още по-голяма плътност, което отваря възможност за създаване на по-сложни и мощни електронни устройства.

IBM и Samsung също работят върху нова транзисторна технология, наречена VTFET. Тя използва вертикално подреждане на транзистори, което я прави по-сложна от съществуващата FinFET структура. Очаква се VTFET да осигури двойно по-висока производителност и да консумира с 85% по-малко енергия от FinFET.

Но все още не е ясно дали новите концепции ще бъдат реализирани на практика. Разходите за мащабиране на интегралните схеми стават все по-големи, което принуждава производителите да търсят алтернативни решения.

Иновативен пример е технологията за пакетиране (чиплетите) – при нея няколко малки чипа се интегрират в пакет, вместо да се вграждат всички функции в един чип. Този подход набира популярност, тъй като ще помогне за преодоляване на проблема с разходите при мащабиране. Във всички случаи обаче производителите ще се съобразяват с рентабилността, производителността и практическото приложение.

Коментар